skip to main content
10.5555/762002.762016guidebooksArticle/Chapter ViewAbstractPublication PagesBookacm-pubtype
chapter

Synthesis of analog and mixed-signal integrated electronic circuits

January 2001
Pages 391 - 427
Published: 01 January 2001 Publication History

Abstract

No abstract available.

References

[1]
Assael, J., Senn, P., and Tawfik, M. (1998). "A switched-capacitor filter silicon compiler," IEEE Journal of Solid-State Circuits, 23(1):166-174.
[2]
Basaran, B. and Rutenbar, R. (1996). "An O(n) algorithm for transistor stacking with performance constraints." In Proceedings of the ACM/IEEE Design Automation Conference, IEEE, New York.
[3]
Basaran, B., Rutenbar, R., and Carley, L. R. (1993). "Latchup-aware placement and parasitic-bounded routing of custom analog cells." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York.
[4]
Beenker, G., Conway, J., Schrooten, G., and Slenter, A. (1993). "Analog CAD for consumer ICs." In Analog Circuit Design J. Huijsing, R. van der Plassche, and W. Sansen (eds.), Kluwer Academic, Boston, MA, Chap. 15, pp. 347-367.
[5]
Carley, L. R., Gielen, G., Rutenbar, R., and Sansen, W. (1996). "Synthesis tools for mixed-signal ICs: progress on frontend and backend strategies." In Proceedings of the ACM/IEEE Design Automation Conference IEEE, New York, pp. 298-303.
[6]
Chang, H., et al. (1992). "A top-down, constraint-driven design methodology, for analog integrated circuits." In Proceedings of the IEEE Custom Integrated Circuits Conference, IEEE, New York, pp. 8.4.1-8.4.6.
[7]
Chang, H., et al. (1997). A Top-Down, Constraint-Driven Design Methodology for Analog Integrated Circuits, Kluwer Academic, Boston, MA.
[8]
Chang, H., et al. (1999). Surviving the SOC Revolution - A Guide to Platform-Based-Design. Kluwer Academic Boston, MA.
[9]
Charbon, E., Malavasi, E., Choudhury, U., Casotto, A., and Sangiovanni-Vincentelli, A. (1992). "A constraint-driven placement methodology for analog integrated circuits." In Proceedings of the IEEE Custom Integrated Circuits Conference, IEEE, New York, pp. 28.2.1-28.2.4.
[10]
Charbon, E., Malavasi, E., Sangiovanni-Vincentelli, A. (1993). "Generalized constraint generation for for analog circuit design." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 408-414.
[11]
Choudhury, U. and Sangiovanni-Vincentelli, A. (1993). "Automatic generation of parasitic constraints for performance-constrained physical design of analog circuits," IEEE Transactions on Computer-Aided Design, 12(2):208-224.
[12]
Choudhury, U. and Sangiovanni-Vincentelli, A. (1993). "Constraint-based channel routing for analog and mixed analog/digital circuits," IEEE Transactions on Computer-Aided Design, 12(4):497-510.
[13]
Cohn, J., Garrod, D., Rutenbar, R., and Carley, L. R. (1991). "KOAN/ANAGRAM II: new tools for device-level analog placement and routing," IEEE Journal of Solid-State Circuits, 26(3):330-342.
[14]
Cohn, J., Garrod, D., Rutenbar, R., and Carley, L. R. (1991). "Techniques for simultaneous placement and routing of custom ananog cells in KOAN/ANAGRAM II." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 394-397.
[15]
Cohn, J., Garrod, D., Rutenbar, R., and Carley, L. R. (1994). Analog Device-Level Layout Generation, Kluwer Academic, Boston, MA.
[16]
Crols, J., Donnay, S., Steyaert, M., and Gielen, G. (1995). "A high-level design and optimization tool for analog RF receiver front-ends." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 550-553.
[17]
Debyser, G. and Gielen, G. (1998). "Efficient analog circuit synthesis with simultaneous yield and robustness optimization." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 308-311.
[18]
Degrauwe, M., et al. (1987). "IDAC: an interactive design tool for analog CMOS circuits," IEEE Journal of Solid-State Circuits, 22(6):1106-1115.
[19]
Director, S. and Rohrer, R. (1969). "Automated network design-The frequency domain case," IEEE Transactions on Circuit Theory, 16(5):330-337.
[20]
Director, S., Maly, W., and Strojwas, A. (1990). VLSI Design for Manufacturing: Yield Enhancement . Kluwer Academic, Boston, MA.
[21]
Doboli, A., Nunez-Aldana, A., Dhanwada, N, Ganesan, S., and Vemuri, R. (1999). "Behavioral synthesis of analog systems using two-layered design space exploration." In Proceedings of the ACM/IEEE Design Automation Conference, IEEE, New York, pp. 951-957.
[22]
Donnay, S., et al. (1996). "Using top-down CAD tools for mixed analog/digital ASICs: a practical design case," Kluwer International Journal on Analog Integrated Circuits and Signal Processing, Special Issue, 10(1/2):101-117.
[23]
El-Turky, F. and Perry, E. (1989). "BLADES: an artificial intelligence approach to analog circuit design," IEEE Transactions on Computer-Aided Design, 8(6):680-691.
[24]
Garrod, D., Rutenbar, R., and Carley, L. R. (1988). "Automatic layout of custom analog cells in ANAGRAM." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 544-547.
[25]
Gielen, G. and Franca, J. (1996). "CAD tools for data converter design: an overview," IEEE Transactions on Circuits and Systems, Part II - Analog and Digital Signal Processing, 43(2):77-89.
[26]
Gielen, G. and Rutenbar, R. A. (2000). "Computer aided design of analog and mixed-signal integrated circuits," Proceedings of the IEEE, 88(12):1825-1849.
[27]
Gielen, G. and Sansen, W. (1991). Symbolic Analysis for Automated Design of Analog Integrated Circuits. Kluwer Academic, Boston, MA.
[28]
Gielen, G., Swings, K., and Sansen, W. (1993). "Open analog synthesis system based on declarative models," in Analog Circuit Design, J. Huijsing, R. van der Plassche, and W. Sansen (eds.), Kluwer Academic, Boston, MA, Chap. 18, pp. 421-445.
[29]
Gielen, G., Walscharts, H., and Sansen, W. (1990). "Analog circuit design optimization based on symbolic simulation and simulated annealing," IEEE Journal of Solid-State Circuits, 25(3):707-713.
[30]
Gielen, G., et al. (1995). "An analog module generator for mixed analog/digital ASIC design," John Wiley International Journal of Circuit Theory and Applications, 23:269-283.
[31]
Gyurcski, R. and Jeen, J. (1989). "A generalized approach to routing mixed analog and digital signal nets in a channel," IEEE Journal of Solid-State Circuits, 24(2):436-442.
[32]
Harjani, R. and Shao, J. (1996). "Feasibility and performance region modeling of analog and digital circuits," Kluwer International Journal on Analog Integrated Circuits and Signal Processing, 10(1):23-43.
[33]
Harjani, R., Rutenbar, R., and Carley, L.R. (1989). "OASYS: a framework for analog circuit synthesis," IEEE Transactions on Computer-Aided Design, 8(12):1247-1265.
[34]
Harvey, J., Elmasry, M., and Leung, B. (1992). "STAIC: an interactive framework for synthesizing CMOS and BiCMOS analog circuits," IEEE Transactions on Computer-Aided Design, 11(11):1402-1416.
[35]
Henderson, R., et al. (1993). "A spreadsheet interface for analog design knowledge capture and reuse." In Proceedings of the IEEE Custom Integrated Circuits Conference, IEEE, New York, pp. 13.3.1-13.3.4.
[36]
Hershenson, M., Boyd, S., and Lee, T. (1998). "GPCAD: a tool for CMOS op-amp synthesis." In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, IEEE, New York, pp. 296-303.
[37]
Hershenson, M., Mohan, S., Boyd, S., and Lee, T. (1999). "Optimization of inductor circuits via geometric programming." In Proceedings of the IEEE/ACM Design Automation Conference, IEEE, New York, pp. 994-998.
[38]
Horta, N., Franca, J., and Leme, C. (1991). "Automated high level synthesis of data conversion systems." In Analogue-Digital ASICs - Circuit Techniques, Design Tools and Applications, Soin, Maloberti, and Franca (eds.), Peregrinus, London.
[39]
IEEE (1996). "Systems-on-a-chip." (General theme of the IEEE International Solid-State Circuits Conference.)
[40]
IEEE (1997). "IEEE Standard VHDL 1076.1 Language Reference Manual - analog and mixed-signal extensions to VHDL 1076," IEEE 1076.1 Working Group, IEEE, New York.
[41]
Kimble, C., et al. (1985). "Analog autorouted VLSI." In Proceedings of the IEEE Custom Integrated Circuits Conference, IEEE, New York.
[42]
Koh, H., Séquin, C., and Gray, P. (1990). "OPASYN: a compiler for CMOS operational amplifiers," IEEE Transactions on Computer-Aided Design, 9(2):113-125.
[43]
Krasnicki, M., Phelps, R., Rutenbar, R., and Carley, L. R. (1999). "MAELSTROM: efficient simulation-based synthesis for custom analog cells." In Proceedings of the ACM/IEEE Design Automation Conference, IEEE, New York, pp. 945-950.
[44]
Kruiskamp, W. and Leenaerts, D. (1995). "DARWIN: CMOS opamp synthesis by means of a genetic algorithm." In Proceedings of the ACM/IEEE Design Automation Conference, IEEE, New York, pp. 550-553.
[45]
Kuhn, J. (1987). "Analog module generators for silicon compilation," VLSI System Design, May.
[46]
Lampaert, K., Gielen, G., and Sansen, W. (1995). "A performance-driven placement tool for analog integrated circuits," IEEE Journal of Solid-State Circuits, 30(7):773-780.
[47]
Lampaert, K., Gielen, G., and Sansen, W. (1996). "Analog routing for performance and manufacturability." In Proceedings of the IEEE Custom Integrated Circuits Conference, IEEE, New York, pp. 175-178.
[48]
Lampaert, K., Gielen, G., and Sansen, W. (1999). Analog Layout Generation for Performance and Manufacturability, Kluwer Academic, Boston, MA.
[49]
Leyn, F., Gielen, G., and Sansen, W. (1998). "An efficient DC root solving algorithm with guaranteed convergence for analog integrated CMOS circuits." In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, IEEE, New York, pp. 304-307.
[50]
Liang, J. (1998). "Mixed-signal IC market to surpass $10 billion in 1997 and $22 billion by 2001." (Report from Dataquest, San Jose, CA.)
[51]
Makris, C. and Toumazou, C. (1995). "Analog IC design automation: II. Automated circuit correction by qualitative reasoning," IEEE Transactions on Computer-Aided Design, 14(2):239-254.
[52]
Malavasi, E., Charbon, E., Felt, E., and Sangiovanni-Vincentelli, A. (1996). "Automation of IC layout with analog constraints," IEEE Transactions on Computer-Aided Design, 15(8):923-942.
[53]
Malavasi, E., Felt, E., Charbon, E., and Sangiovanni-Vincentelli, A. (1995). "Symbolic compaction with analog constraints," Wiley International Journal on Circuit Theory and Applications, 23(4):433-452.
[54]
Malavasi, E. and Pandini, D. (1995). "Optimum CMOS stack generation with analog constraints," IEEE Transactions on Computer-Aided Design, 14(1):107-122.
[55]
Malavasi, E. and Sangiovanni-Vincentelli, A. (1993). "Area routing for analog layout," IEEE Transactions on Computer-Aided Design, 12(8):1186-1197.
[56]
Malavasi, E., et al. (1993). "A top-down, constraint-driven design methodology for analog integrated circuits." In Analog Circuit Design, J. Huijsing, R. van der Plassche, and W. Sansen (eds.), Kluwer Academic, Boston, MA, Chap. 13, pp. 285-324.
[57]
Maulik, P., Carley, L. R., and Rutenbar, R. (1995). "Simultaneous topology selection and sizing of cell-level analog circuits," IEEE Transactions on Computer-Aided Design, 14(4):401-412.
[58]
Medeiro, F., Pérez-Verdú, B., Rodríguez-Váizquez, A., and Huertas, J. (1995). "A vertically-integrated tool for automated design of ΣΔ modulators," IEEE Journal of Solid-State Circuits, 30(7):762-772.
[59]
Medeiro, F., et al. (1994). "A statistical optimization-based approach for automated sizing of analog cells." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 594-597.
[60]
Meyer zu Bexten, V., Moraga, C., Klinke, R., Brockherde, W., and Hess, K. (1993). "ALSYN: flexible rule-based layout synthesis for analog ICs," IEEE Journal of Solid-State Circuits, 28(3): 261-268.
[61]
Mitra, S., Nag, S., Rutenbar, R., and Carley, L. R. (1992). "System-level routing of mixed-signal ASICs in WREN." In ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York.
[62]
Mitra, S., Rutenbar, R., Carley, L. R., and Allstot, D. (1995). "Substrate-aware mixed-signal macrocell placement in WRIGHT," IEEE Journal of Solid-State Circuits, 30(3):269-278.
[63]
Mogaki, M., et al. (1989). "LADIES: an automated layout system for analog LSIs." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 450-453.
[64]
Mukherjee, T., Carley, L. R., and Rutenbar, R. (1995). "Synthesis of manufacturable analog circuits," Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 586-593.
[65]
Nagel, L. (1975). "SPICE2: a computer program to simulate semiconductor circuits," Memo UCB/ERL M520, University of California, Berkeley.
[66]
Neolinear, Inc. (2000). NeoCell synthesis tool; http://www.neolinear.com.
[67]
Ning, Z., et al. (1991). "SEAS: a simulated evolution approach for analog circuit synthesis." In Proceedings of the IEEE Custom Integrated Circuits Conference, IEEE, New York, pp. 5.2.1-5.2.4.
[68]
Nye, W., Riley, D., Sangiovanni-Vincentelli, A., and Tits, A., (1998). "DELIGHT.SPICE: an optimization-based system for the design of integrated circuits," IEEE Transactions on Computer-Aided Design, 7(4):501-518.
[69]
Ochotta, E., Mukherjee, T., Rutenbar, R., and Carley, L. R. (1998). Practical Synthesis of High-Performance Analog Circuits. Kluwer Academic, Boston, MA.
[70]
Ochotta, E., Rutenbar, R., and Carley, L. R. (1996). "Synthesis of high-performance analog circuits in ASTRX/OBLX," IEEE Transactions on Computer-Aided Design, 15(3): 273-294.
[71]
Okuda, R., Sato, T., Onodera, H., and Tamuru, K. (1989). "An efficient algorithm for layout compaction problem with symmetry constraints." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 148-151.
[72]
Onodera, H., Kanbara, H., and Tamaru, K. (1990). "Operational-amplifier compilation with performance optimization," IEEE Journal of Solid-State Circuits, 25(2):466-473.
[73]
Open Verilog International (1996). "Verilog-A: Language Reference Manual: analog extensions to Verilog HDL," Version 0.1, Los Gatos, CA.
[74]
Phelps, R., Krasnicki, M., Rutenbar, R., Carley, L. R., and Hellums, J. (1999). "ANACONDA: robust synthesis of analog circuits via stochastic pattern search." In Proceedings of the IEEE Custom Integrated Circuits Conference, New York, pp. 567-570.
[75]
Phelps, R., Krasnicki, M., Rutenbar, R., Carley, L. R., and Hellums, J. (2000). "A case study of synthesis for industrial-scale analog IP: redesign of the equalizer/filter frontend for an ADSL CODEC." In Proceedings of the ACM/IEEE Design Automation Conference, IEEE, New York, pp. 1-6.
[76]
Pillage, L. and Rohrer, R. (1990). "Asymptotic waveform evaluation for timing analysis," IEEE Transactions on Computer-Aided Design, 9(4):352-366.
[77]
Prieto, J., Rueda, A., Quintana, J., and Huertas, J. (1997). "A performance-driven placement algorithm with simultaneous place and route optimization for analog ICs." In Proceedings of the IEEE European Design and Test Conference, IEEE, New York, pp. 389-394.
[78]
Rijmenants, J., et al. (1989). "ILAC: an automated layout tool for analog CMOS circuits," IEEE Journal of Solid-State Circuits, 24(4):417-425.
[79]
Schwencker, R., Schenkel, F., Graeb, H., and Antreich, K. (2000) "The generalized boundary curve - a common method for automatic nominal design and design centering of analog circuits." In Proceedings of the IEEE Design Automation and Test in Europe Conference, IEEE, New York, pp. 42-47.
[80]
Semiconductor Industry Association (1994). "The national technology roadmap for semiconductors," SIA; San Jose, CA.
[81]
Sheu, B., Fung, A., and Lai, Y.-N. (1998). "A knowledge-based approach to analog IC design," IEEE Transactions on Circuits And Systems, 35(2):256-258.
[82]
Stanisic, B., Rutenbar, R., and Carley, L. R. (1996). Synthesis of Power Distribution to Manage Signal Integrity in Mixed-Signal ICs. Kluwer Academic, Boston, MA.
[83]
Stanisic, B., Verghese, N., Rutenbar, R., Carley, L. R., and Allstot, D. (1994). "Addressing substrate coupling in mixed-mode ICs: simulation and power distribution synthesis," IEEE Journal of Solid-State Circuits, 29(3).
[84]
Swings K. and Sansen, W. (1991). "DONALD: a workbench for interactive design space exploration and sizing of analog circuits." In Proceedings of the IEEE European Design Automation Conference, IEEE, New York, pp. 475-479.
[85]
Toumazou, C. and Makris, C. (1995). "Analog IC design automation: I. Automated circuit generation: new concepts and methods," IEEE Transactions on Computer-Aided Design, 14(2):218-238.
[86]
Veselinovic, P., et al. (1995). "A flexible topology selection program as part of an analog synthesis system." In Proceedings of the IEEE European Design & Test Conference, IEEE, New York, pp. 119-123.
[87]
Vital, J. and Franca, J. (1992). "Synthesis of high-speed A/D converter architectures with flexible functional simulation capabilities." In Proceedings of the IEEE International Symposium on Circuits And Systems, IEEE, New York, pp. 2156-2159.
[88]
VSI Alliance (1997). "Virtual Socket Interface Architecture Document." Version 1.0, Los Gatos, CA.
[89]
Yaghutiel, H., Sangiovanni-Vincentelli, A., and Gray, P. (1986). "A methodology for automated layout of switched-capacitor filters." In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, IEEE, New York, pp. 444-447.
[90]
Zhang, J. and Styblinski, M. (1995). Yield and Variability optimization of Integrated Circuits. Kluwer Academic, Boston, MA.

Cited By

View all
  • (2010)Design platform for electrical and physical co-design of analog circuitsProceedings of the 19th international symposium on Physical design10.1145/1735023.1735038(45-45)Online publication date: 14-Mar-2010

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Guide books
Formal engineering design synthesis
January 2001
500 pages
ISBN:0521792479

Publisher

Cambridge University Press

United States

Publication History

Published: 01 January 2001

Qualifiers

  • Chapter

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 15 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2010)Design platform for electrical and physical co-design of analog circuitsProceedings of the 19th international symposium on Physical design10.1145/1735023.1735038(45-45)Online publication date: 14-Mar-2010

View Options

View options

Get Access

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media