skip to main content
10.1145/966747.966762acmconferencesArticle/Chapter ViewAbstractPublication PagesslipConference Proceedingsconference-collections
Article

NoCIC: a spice-based interconnect planning tool emphasizing aggressive on-chip interconnect circuit methods

Published: 14 February 2004 Publication History

Abstract

Performance and power of on-chip interconnects in the nanometer realm have been an increasing source of concern to designers. Network-on-Chip (NoC)structures have been proposed as a solution to achieve efficient and reliable communication. Even with the regularity of NoC structures, it is important for designers to acknowledge the physical layer interconnect issues to plan and quantify achievable performance. In this paper we present a spice-based tool: No-CIC: Network-on-Chip Interconnect Calculator, which enables NoC designers to assess the impact of interconnect circuit designs and understand the tradeoffs involved to achieve better a priori planning. NoCIC determines the interconnect performance and power based on select NoC and circuit parameters. The effects of each parameter on the interconnect performance and power are expressed through various two-dimensional and three-dimensional plots.

References

[1]
A. Caldwell et al. Gtx: The marco gsrc technology extrapolation system. In Proceedings of ACM/IEEE Design Automation Conf pages 693--698, 2000.
[2]
A. Maheshwari and W. Burleson. Current-sensing for global interconnects, secondary design issues: Analysis and solutions. In IEEE International Workshop on power and timing modeling, optimization and simulation 2001.
[3]
A. Nalmalpu and W. Burleson. A practical apporach to dsm repeater insertion: Satisfying delay constraints while minimizing area and power.In IEEE ASIC SOC Conference, 2001.
[4]
B. M. Geuskens. Modeling the influence of multilevel interconnect on chip performance. In Ph.D. thesis, Rensselaer Polytechnic Institute, Troy, New York, 1997.
[5]
Berkeley Predictive Model, Univ.of California Berkeley.http://www-device.eecs.berkeley.edu/~ptm/
[6]
D. Sylvester and K. Keutzer. Getting to the bottom of deep sub-micron ll : A global paradigm. In Proceedings of International Symposium on Physical Design pages 193--200, 1999.
[7]
D. Sylvester and K. Keutzer. System-level performance modeling with bacpac -- berkeley advanced chip performance calculator. In Workshop notes of the 1st International Workshop on System-Level Interconnect Prediction, pages 109--114, 1999.
[8]
H. Zhang et al. Low-swing on-chip signaling techniques: effectiveness and robustness. Transactions of Very Large Scale Integration (VLSI) Systems, pages 264--272, Jun 2000.
[9]
H. B. Bakoglu. Circuits, Interconnections and Packaging for VLSI Addison Wesley, 1990.
[10]
H. B. Bakoglu and J. D. Meindl. A system-level circuit model for multi-and single-chip cpu s. In Proceedings of International Solid-State Circuits Conf, pages 308--309, 1987.
[11]
J. Cong et al. Buffer block planning for interconnect-driven .oorplanning. In Proceedings of International Conference on Computer Aided Design pages 358--363, 1999.
[12]
J. Liang et al. asoc: A scalable,single-chip communications architecture. In Proceedings of the IEEE, International Conference on Parallel Architectures and Compilation Techniques (PACT), Oct 2000.
[13]
J. Liu et al. System level interconnect design for network-on-chip using interconnect ips. In Proceeding of the International Workshop on System Level Interconnect Prediction, 2003.
[14]
J. C. Eble et al. A generic system simulator (genesys) for asic technology and architecture beyond 2001. In Proceedings of 9th Annual IEEE Intl. ASIC Conf, pages 193--196, 1996.
[15]
L. Benini and G. De Micheli. Networks on chip: a new paradigm for systems on chip design. In Proceedings of Conference on Design, Automation and Test in Europe pages 418--419, 2002.
[16]
S. Kumar et al. A network on chip architecture and design methodology. In Proceedings of IEEE Computer Society Annual Symposium on VLSI, Apr 2002.
[17]
S. Srinivasan. Multi-bit signaling. In M.S Thesis, The Department of ECE, University of Massachusetts, Amherst pages chapters 3--4, 2002.
[18]
W. Dally and B. Towles. Route packets, not wires: On-chip interconnection networks. In Proceedings of 38th Design Automation Conference, Jun 2001.
[19]
Y. I. Ismail and E. G. Friedman. Effects of inductance on the propagation delay and repeater insertion in vlsi circuits. IEEE Transactions on Very Large Scale Integration (VLSI) System pages 195--206, 2000.
[20]
Yu Cao et al. Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. Transactions of Very Large Scale Integration (VLSI) Systems pages 799--805, Dec 2002.

Cited By

View all
  • (2013)Analysis of multilayer and multifunctional circuit in processorInternational Conference on Advanced Nanomaterials & Emerging Engineering Technologies10.1109/ICANMEET.2013.6609316(485-487)Online publication date: Jul-2013
  • (2011)Interconnect physical analyser (IPAA) applied to the design of scalable Network-on-Chip interconnect for cryptographic acceleratorsProceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip10.1145/1999946.1999982(225-232)Online publication date: 1-May-2011
  • (2011)A Predictive and Accurate Interconnect Density FunctionIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2010.205394619:9(1704-1717)Online publication date: 1-Sep-2011
  • Show More Cited By

Index Terms

  1. NoCIC: a spice-based interconnect planning tool emphasizing aggressive on-chip interconnect circuit methods

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    SLIP '04: Proceedings of the 2004 international workshop on System level interconnect prediction
    February 2004
    111 pages
    ISBN:1581138180
    DOI:10.1145/966747
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 14 February 2004

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. interconnects
    2. network-on-chip
    3. on-chip
    4. signaling
    5. spice-based

    Qualifiers

    • Article

    Conference

    SLIP04
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 6 of 8 submissions, 75%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 15 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2013)Analysis of multilayer and multifunctional circuit in processorInternational Conference on Advanced Nanomaterials & Emerging Engineering Technologies10.1109/ICANMEET.2013.6609316(485-487)Online publication date: Jul-2013
    • (2011)Interconnect physical analyser (IPAA) applied to the design of scalable Network-on-Chip interconnect for cryptographic acceleratorsProceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip10.1145/1999946.1999982(225-232)Online publication date: 1-May-2011
    • (2011)A Predictive and Accurate Interconnect Density FunctionIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2010.205394619:9(1704-1717)Online publication date: 1-Sep-2011
    • (2010)NCXplore: a design space exploration framework of temporal encoding for on-chip serial interconnectsInternational Journal of High Performance Systems Architecture10.1504/IJHPSA.2010.0345392:3/4(177-186)Online publication date: 1-Aug-2010
    • (2007)Process Variation Tolerant 3T1D-Based Cache ArchitecturesProceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2007.33(15-26)Online publication date: 1-Dec-2007
    • (2006)Service-oriented Approaches for the Operation of large on-chip Networks2006 NORCHIP10.1109/NORCHP.2006.329206(183-186)Online publication date: Nov-2006
    • (2006)Energy-Aware Differential Current Sensing for Global On-Chip Interconnects2006 49th IEEE International Midwest Symposium on Circuits and Systems10.1109/MWSCAS.2006.382163(718-722)Online publication date: Aug-2006
    • (2005)MAIAProceedings of the 2005 Asia and South Pacific Design Automation Conference10.1145/1120725.1120741(49-52)Online publication date: 18-Jan-2005
    • (2005)Digital Systems Design with ASIC and FPGAProceedings of the 2005 IEEE International Conference on Microelectronic Systems Education10.1109/MSE.2005.27(3-4)Online publication date: 12-Jun-2005
    • (2005)MAIA - a framework for networks on chip generation and verificationProceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005.10.1109/ASPDAC.2005.1466128(49-52)Online publication date: 2005

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media