skip to main content
article

iDEAL: Inter-router Dual-Function Energy and Area-Efficient Links for Network-on-Chip (NoC) Architectures

Published: 01 June 2008 Publication History

Abstract

Network-on-Chip (NoC) architectures have been adopted by a growing number of multi-core designs as a flexible and scalable solution to the increasing wire delay constraints in the deep sub-micron regime. However, the shrinking feature size limits the performance of NoCs due to power and area constraints. Research into the optimization of NoCs has shown that a reduction in the number of buffers in the NoC routers reduces the power and area overhead but degrades the network performance. In this paper, we propose iDEAL, a low-power area-efficient NoC architecture by reducing the number of buffers within the router. To overcome the performance degradation caused by the reduced buffer size, we propose to use adaptive dual-function links capable of data transmission as well as data storage when required. Simulation results for the proposed architecture show that reducing the router buffer size in half and using the adaptive dual-function links achieves nearly 40% savings in buffer power, 30% savings in overall network power and about 41% savings in the router area, with only a marginal 1-3% drop in performance. Moreover, the performance in iDEAL can be further improved by aggressive and speculative flow control techniques.

References

[1]
R. Ho, K. W. Mai, and M. A. Horowitz, "The Future of Wires," Proceedings of the IEEE, vol. 89, pp. 490-504, April 2001.
[2]
L. Benini and G. D. Micheli, "Networks on Chips: A New SOC Paradigm," IEEE Computer, vol. 35, pp. 70-78, 2002.
[3]
W. J. Dally and B. Towles, "Route packets, not wires : On-Chip Interconnection Networks," in Proceedings of the Design Automation Conference (DAC), Las Vegas, NV, USA, June 18-22 2001.
[4]
R. Kumar, V. Zyuban, and D. Tullsen, "Interconnections in Multi-core Architectures: Understanding Mechanisms, Overheads and Scaling," in Proceedings of the 32nd Annual International Symposium on Computer Architecture (ISCA), Madison, Wisconsin, USA, June 4-8 2005, pp. 408-419.
[5]
S. Heo and K. Asanovic, "Replacing Global Wires With an On-chip network: A Power Analysis," in Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), San Diego, CA, USA, August 8-10 2005, pp. 369-374.
[6]
J. Hu and R. Marculescu, "Application-specific Buffer Space Allocation for Network-on-chip Router Design," in Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Jose, CA, USA, November 7-11 2004, pp. 354-361.
[7]
T. Bjerregaard and S. Mahadevan, "A Survey of Research and Practices of Network-on-chip," ACM Computing Surveys, vol. 38, no. 1, 2006.
[8]
C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, "ViChaR: A Dynamic Virtual Channel Regulator for Network-on-chip Routers," in Proceedings of the 39th Annual International Symposium on Microarchitecture (MICRO), Orlando, FL, USA, December 9-13 2006, pp. 333-344.
[9]
J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L. S. Peh, "Research Challenges for On-chip Interconnection Networks," IEEE Micro, vol. 27, no. 5, pp. 96-108, September-October 2007.
[10]
H. S. Wang, L. S. Peh, and S. Malik, "Power-driven Design of Router Microarchitectures in On-chip Networks," in Proceedings of the 36th Annual ACM/IEEE International Symposium on Microarchitecture, Washington DC, USA, December 03-05 2003, pp. 105-116.
[11]
P. Kundu, "On-die Interconnects for Next Generation CMPs," in 2006 Workshop on On- and Off-Chip Interconnection Networks for Multicore Systems, Stanford, CA, USA, December 6-7 2006.
[12]
J. Balfour and W. J. Dally, "Design Tradeoffs for Tiled CMP On-chip Networks," in Proceedings of the 20th ACM International Conference on Supercomputing (ICS), Cairns, Australia, June 28-30 2006, pp. 187-198.
[13]
J. Kim, W. J. Dally, and D. Abts, "Flattened Butterfly : A Cost-efficient Topology for High-radix Networks," in Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA), San Diego, CA, USA, June 9-13 2007, pp. 126-137.
[14]
D. Park, R. Das, C. Nicopoulos, J. Kim, N. Vijaykrishnan, R. Iyer, and C. Das, "Design of a Dynamic Priority-based Fast path Architecture for On-chip Interconnects," in 15th IEEE Symposium on High Performance Interconnects (HOTI 2007), Stanford, CA, USA, August 6-8 2007, pp. 15-20.
[15]
P. P. Pande, C. Grecu, A. Ivanov, and R. Saleh, "Performance Evaluation and Design Trade-offs for Network-on-chip Interconnect Architectures," IEEE Transactions on Computers, vol. 54, no. 8, August 2005.
[16]
J. Hu and R. Marculescu, "DyAD Ü Smart Routing for Networks-on-chip," in Proceedings of the 41st IEEE/ACM Design Automation Conference, San Diego, CA, USA, June 7-11 2004.
[17]
J. Kim, C. A. Nicopoulos, D. Park, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, "A Gracefully Degrading and Energy-efficient Modular Router Architecture for On-chip Networks," in Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA), Boston, MA, USA, June 17-21 2006, pp. 4-15.
[18]
P. Abad, V. Puente, J. A. Gregorio, and P. Prieto, "Rotary Router: An Efficient Architecture for CMP Interconnection Networks," in Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA), San Diego, CA, USA, June 9-13 2007, pp. 116-125.
[19]
L. S. Peh and W.J. Dally, "A Delay Model and Speculative Architecture for Pipelined Routers," in Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA), Nuevo Leone, Mexico, January 2001, pp. 255-266.
[20]
R. Mullins, A. West, and S. Moore, "Low-latency Virtual Channel Routers for On-chip Networks," in Proceedings of International Symposium on Computer Architecture (ISCA), Munchen, Germany, June 19-23 2004, pp. 188-197.
[21]
W. J. Dally and B. Towles, Principles and Practices of Interconnection Networks, Morgan Kaufmann, San Fransisco, USA, 2004.
[22]
W. J. Dally, "Performance Analysis of k-ary n-cube Interconnection Networks," IEEE Transactions on Computers, vol. 39, no. 6, pp. 775-785, June 1990.
[23]
W. J. Dally, "Virtual-channel Flow Control," in Proceedings of the 17th Annual International Symposium on Computer Architecture (ISCA), Seattle, WA, USA, June 1990, pp. 60-68.
[24]
K. Banerjee and A. Mehrotra, "A Power-optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs," IEEE Transactions on Electron Devices, vol. 49, no. 11, pp. 2001-2007, November 2002.
[25]
M. Mizuno, W. J. Dally, and H. Onishi, "Elastic Interconnects: Repeater-inserted Long Wiring Capable of Compressing and Decompressing Data," in Proceedings of the IEEE International Solid-State Circuits Conference, San Fransisco, CA, USA, February 5-7 2001, pp. 346-347.
[26]
Y. M. Boura and C. R. Das, "Performance Analysis of Buffering Schemes in Wormhole Routers," IEEE Transactions on Computers, vol. 46, pp. 687-694, 1997.
[27]
Y. Tamir and G. L. Frazier, "High-performance Multiqueue Buffers for VLSI Communication Switches," in Proceedings of the 15th Annual International Symposium on Computer Architecture (ISCA), Honolulu, Hawaii, USA, May-June 1988, pp. 343-354.
[28]
N. Ni, M. Pirvu, and L. Bhuyan, "Circular Buffered Switch Design with Wormhole Routing and Virtual Channels," in Proceedings of the International Conference on Computer Design (ICCD), Austin, TX, USA, October 1998, pp. 466-473.
[29]
M. Rezazad and H. Sarbazi-azad, "The Effect of Virtual Channel Organization on the Performance of Interconnection Networks," in Proceedings of the 19th International Parallel and Distributed Processing Symposium, Denver, CO, USA, April 3-8 2005.
[30]
J. Hu and R. Marculescu, "Energy-aware Mapping for Tile-based NoC Architectures Under Performance Constraints," in Proceedings of the 2003 Conference on Asia South Pacific Design Automation, Kitakyushu, Japan, January 21-24 2003, pp. 233-239.
[31]
M. A. El-Moursy and E. G. Friedman, "Optimum Wire Sizing of RLC Interconnect with Repeaters," Integration, the VLSI Journal, vol. 38, no. 2, pp. 205-225, December 2004.
[32]
H. S. Wang, X. Zhu, L. S. Peh, and S. Malik, "Orion: A Power-performance Simulator for Interconnection Networks," in Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22 2002, pp. 294-305.
[33]
C. S. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, "The SPLASH-2 programs: Characterization and Methodological Considerations," in Proceedings of the 22nd Annual International Symposium on Computer Architecture (ISCA), Santa Margherita Ligure, Italy, June 22-24 1995, pp. 24-37.
[34]
V. Pai, P. Ranganathan, and S.V. Adve, "RSIM Reference Manual version 1.0," Dept. of Electrical and Computer Engineering, Rice University, July 1997.

Cited By

View all
  • (2023)Polyform: A Versatile Architecture for Multi-DNN Execution via Spatial and Temporal Acceleration2023 IEEE 41st International Conference on Computer Design (ICCD)10.1109/ICCD58817.2023.00033(166-169)Online publication date: 6-Nov-2023
  • (2023)A Top-Down Modeling Approach for Networks-on-Chip Components Design: A Switch as Case StudyIEEE Access10.1109/ACCESS.2023.323527611(4412-4433)Online publication date: 2023
  • (2022)RACE: A Reinforcement Learning Framework for Improved Adaptive Control of NoC Channel BuffersProceedings of the Great Lakes Symposium on VLSI 202210.1145/3526241.3530335(205-210)Online publication date: 6-Jun-2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM SIGARCH Computer Architecture News
ACM SIGARCH Computer Architecture News  Volume 36, Issue 3
June 2008
449 pages
ISSN:0163-5964
DOI:10.1145/1394608
Issue’s Table of Contents
  • cover image ACM Conferences
    ISCA '08: Proceedings of the 35th Annual International Symposium on Computer Architecture
    June 2008
    449 pages
    ISBN:9780769531748

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 June 2008
Published in SIGARCH Volume 36, Issue 3

Check for updates

Author Tags

  1. Interconnects
  2. Low-Power architecture
  3. Network-on-Chip

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)10
  • Downloads (Last 6 weeks)1
Reflects downloads up to 15 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Polyform: A Versatile Architecture for Multi-DNN Execution via Spatial and Temporal Acceleration2023 IEEE 41st International Conference on Computer Design (ICCD)10.1109/ICCD58817.2023.00033(166-169)Online publication date: 6-Nov-2023
  • (2023)A Top-Down Modeling Approach for Networks-on-Chip Components Design: A Switch as Case StudyIEEE Access10.1109/ACCESS.2023.323527611(4412-4433)Online publication date: 2023
  • (2022)RACE: A Reinforcement Learning Framework for Improved Adaptive Control of NoC Channel BuffersProceedings of the Great Lakes Symposium on VLSI 202210.1145/3526241.3530335(205-210)Online publication date: 6-Jun-2022
  • (2022)SecureNoC: A Learning-Enabled, High-Performance, Energy-Efficient, and Secure On-Chip Communication Framework DesignIEEE Transactions on Sustainable Computing10.1109/TSUSC.2021.31382797:3(709-723)Online publication date: 1-Jul-2022
  • (2022)Agile: A Learning-Enabled Power and Performance-Efficient Network-on-Chip DesignIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.300349610:1(223-236)Online publication date: 1-Jan-2022
  • (2022)Routerless networks-on-chipPower-Efficient Network-on-Chips: Design and Evaluation10.1016/bs.adcom.2021.11.002(81-116)Online publication date: 2022
  • (2020)A versatile and flexible chiplet-based system design for heterogeneous manycore architecturesProceedings of the 57th ACM/EDAC/IEEE Design Automation Conference10.5555/3437539.3437654(1-6)Online publication date: 20-Jul-2020
  • (2020)CURE: A High-Performance, Low-Power, and Reliable Network-on-Chip Design Using Reinforcement LearningIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2020.298629731:9(2125-2138)Online publication date: 1-Sep-2020
  • (2020)A Versatile and Flexible Chiplet-based System Design for Heterogeneous Manycore Architectures2020 57th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC18072.2020.9218654(1-6)Online publication date: Jul-2020
  • (2019)IntelliNoCProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322274(589-600)Online publication date: 22-Jun-2019
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media