skip to main content
research-article

Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits

Published: 22 April 2002 Publication History

Abstract

We present a new approach for the estimation and optimization of standby power dissipation in large MOS digital circuits. We first introduce a new approach for accurate and efficient calculation of the average standby or leakage current in large digital circuits by introducing the concepts of "dominant leakage states" and the use of state probabilities. Combined with graph reduction techniques and simplified nonlinear simulation, our method achieves speedups of three to four orders of magnitude over exhaustive SPICE simulations while maintaining very good accuracy. The leakage current calculation is then utilized in a new leakage and performance optimization algorithm for circuits using dual Vt processes. Our approach is the first to consider the assignment of both the Vt and the width of a transitor, simultaneously. Our optimization approach was able to obtain 81-100% of the performance achievable with all low Vt transistors, but with 1/3 to 1/6 the standby current. We also show that knowledge of the standby state of the device enhances the leakage/performance tradeoff.

References

[1]
K. Fujii et al., "A sub- IV triple-threshold CMOS/SIMOX circuit for active power reduction," in ISSCC Dig. Tech. Papers, Feb. 1998, p. 190.
[2]
N. Rohrer et al, "A 480 MHz RISC microprocessor in a 0.12 m Left CMOS technology with copper interconnects," in Proc. IEEE Int. SolidStare Circuits Conf, 1998.
[3]
Y. Oowaki et al., "A sub-0.1 m circuit design with substrate-over-biasing," in ISSCC Dig. Tech. Papers, Feb. 1998, p. 88.
[4]
J. Kao, A. Chandrakasan, and D. Antoniadis, Transistor sizing issues and tool for multithreshold CMOS technology," in Proc. Design Automaton Conf, 1997, pp. 409-414,
[5]
L. Wei et al., "Design and optimization of low voltage high performance dual threshold CMOS circuits." in Poor. 35th Design Automation Conf, 1998, pp. 489-494
[6]
L. Wei, Z. Chen and K. Roy, "Mixed-Vth (MVT) CMOS circuit design methodology for low power applications," in Proc. 36th Design Automation Conf. 1999, pp. 430-435.
[7]
Q. Wang et al., "Static power optimization of deep submicron Came; circuits for dual V technology," in Proc. ICCAD, 1998, pp. 490-496.
[8]
Z. Chen et al., "Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks," in Proc. Int. Symp. Low Power Electron. Design. 1998, pp. 239-244.
[9]
P. Antognetti, "CAD model for threshold and subthreshold conduction in MOSFET's," IEEE J. Solid-State Circuits, vol. SC-17, pp. 454-458, June 1982,
[10]
B. J. Sheu et al, "BSIM: Berkeley short-channel IGFET model for MOS transistors," IEEE J. Solid-Stare Circuits, vol. SC-22, pp. 558-566, June 1987
[11]
M. D. Godfrey, "CMOS device modeling for subthreshold circuits," IEEE Trans. Circuits Syst. II, vol. 39, Aug. 1992.
[12]
E. A. Vittoz, "Analog VLSI signal processing: Why, where and how?," J. VLSI Signal Processing, vol. 8, pp. 27-44, 1994.
[13]
M.-J. Chen et al., "A three-parameters-only MOSFET subthreshold current CAD model considering back-gate bias and process variation," IEEE Trans. Computer-Aided Design, vol. 16, pp. 343-352, 1997
[14]
J. Halter and F. N. Najm, "A gate-level leakage power reduction method for ultra-low-power CMOS circuits," in Proc. Custom Integrated Circuit Conf., 1997, pp. 475-478.
[15]
P. Pant et al., "Device-circuit optimization for minimal energy and power consumption in CIVICS random logic networks," in Proc. 34th Design Automation Conf., June 1997, pp. 403-408.
[16]
S. Ercolani, M. Favalli, M. Damiani, P. Olivo, and B. Ricco, "Testability measures in pseudorandom testing," IEEE Trans. Computer-Aided Design, vol. II, pp. 794-800, 1992.
[17]
A. L. Glebov et al., "Transistor reordering for ow power CMOS gates using an SP-BDD representation," in Proc. Int. Symp. Low Power Design, 1995, pp. 161-166.
[18]
J. P. Fishburn et al., "TILOS: A posynomial programming approach to transistor sizing," in Proc ICCAD, Nov. 1985, pp. 269-273.
[19]
A. Dharchoudhury et al., "Fast and accurate timing simulation with regionwise quadratic models of MOS I-V characteristics." in ICCAD, Nov. 1994, pp 190-194.
[20]
A. Dharchoudhory, D. Blaauw, T. Noston, S. Pullela, and T. Dunning, ''Transistor-level sizing and timing verification of domino circuits in the power PCTa microprocessor," in ICCD, Oct. 1997, pp. 143-148.
[21]
M C. Johnson et al., "Models and algorithms for bounds on leakage in CMOS circuits," IEEE Trans. Computer-Aided Design, vol. 18, pp. 714-725, June 1999.
[22]
M. C. Johnson, D. Somasekhar, and K. Roy, "Leakage control with efficient use of transistor stacks in single threshold CMOS," in Proc. 36th Design Automation Conf., 1999, pp. 442-445.

Cited By

View all
  • (2019)LECTOR incorporated differential cascode voltage swing logic (L-DCVSL)Analog Integrated Circuits and Signal Processing10.1007/s10470-019-01466-2100:1(221-234)Online publication date: 1-Jul-2019
  • (2017)LCNT-an approach to minimize leakage power in CMOS integrated circuitsMicrosystem Technologies10.1007/s00542-016-2996-y23:9(4245-4253)Online publication date: 1-Sep-2017
  • (2016)Leakage-Power-Aware Scheduling With Dual-Threshold Voltage DesignIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.253522124:10(3067-3079)Online publication date: 1-Oct-2016
  • Show More Cited By

Index Terms

  1. Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
      IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 10, Issue 2
      April 2002
      122 pages

      Publisher

      IEEE Educational Activities Department

      United States

      Publication History

      Published: 22 April 2002

      Author Tags

      1. critical-path
      2. dual-Vt
      3. high-performance
      4. leakage
      5. low-power design
      6. low-power dissipation
      7. low-voltage
      8. performance-tradeoffs

      Qualifiers

      • Research-article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 15 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2019)LECTOR incorporated differential cascode voltage swing logic (L-DCVSL)Analog Integrated Circuits and Signal Processing10.1007/s10470-019-01466-2100:1(221-234)Online publication date: 1-Jul-2019
      • (2017)LCNT-an approach to minimize leakage power in CMOS integrated circuitsMicrosystem Technologies10.1007/s00542-016-2996-y23:9(4245-4253)Online publication date: 1-Sep-2017
      • (2016)Leakage-Power-Aware Scheduling With Dual-Threshold Voltage DesignIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.253522124:10(3067-3079)Online publication date: 1-Oct-2016
      • (2014)Bridging high performance and low power in processor designProceedings of the 2014 international symposium on Low power electronics and design10.1145/2627369.2631642(183-188)Online publication date: 11-Aug-2014
      • (2013)Discrete sizing for leakage power optimization in physical designACM Transactions on Design Automation of Electronic Systems10.1145/2390191.239020618:1(1-11)Online publication date: 16-Jan-2013
      • (2013)Lector with Footed-Diode InverterCircuits, Systems, and Signal Processing10.1007/s00034-013-9615-232:6(2707-2722)Online publication date: 1-Dec-2013
      • (2012)Post-synthesis leakage power minimizationProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492732(99-104)Online publication date: 12-Mar-2012
      • (2012)Construction of realistic gate sizing benchmarks with known optimal solutionsProceedings of the 2012 ACM international symposium on International Symposium on Physical Design10.1145/2160916.2160949(153-160)Online publication date: 25-Mar-2012
      • (2010)Slack redistribution for graceful degradation under voltage overscalingProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899911(825-831)Online publication date: 18-Jan-2010
      • (2010)Recovery-driven designProceedings of the 47th Design Automation Conference10.1145/1837274.1837481(825-830)Online publication date: 13-Jun-2010
      • Show More Cited By

      View Options

      View options

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media