skip to main content
10.1145/3489517.3530414acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Open access

LOCK&ROLL: deep-learning power side-channel attack mitigation using emerging reconfigurable devices and logic locking

Published: 23 August 2022 Publication History

Abstract

The security and trustworthiness of ICs are exacerbated by the modern globalized semiconductor business model. This model involves many steps performed at multiple locations by different providers and integrates various Intellectual Properties (IPs) from several vendors for faster time-to-market and cheaper fabrication costs. Many existing works have focused on mitigating the well-known SAT attack and its derivatives. Power Side-Channel Attacks (PSCAs) can retrieve the sensitive contents of the IP and can be leveraged to find the key to unlock the obfuscated circuit without simulating powerful SAT attacks. To mitigate P-SCA and SAT-attack together, we propose a multi-layer defense mechanism called LOCK&ROLL: Deep-Learning Power Side-Channel Attack Mitigation using Emerging Reconfigurable Devices and Logic Locking. LOCK&ROLL utilizes our proposed Magnetic Random-Access Memory (MRAM)-based Look Up Table called Symmetrical MRAM-LUT (SyM-LUT). Our simulation results using 45nm technology demonstrate that the SyM-LUT incurs a small overhead compared to traditional Static Random Access Memory LUT (SRAM-LUT). Additionally, SyM-LUT has a standby energy consumption of 20aJ while consuming 33fJ and 4.6fJ for write and read operations, respectively. LOCK&ROLL is resilient against various attacks such as SAT-attacks, removal attack, scan and shift attacks, and P-SCA.

References

[1]
Mohammed Ali et. al. Al-Garadi. 2020. A Survey of Machine and Deep Learning Methods for Internet of Things (IoT) Security. IEEE Communications Surveys Tutorials 22, 3 (2020), 1646--1685.
[2]
Kimia Zamiri et al. Azar. 2018. SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance Beyond the SAT Attacks. IACR TCHES 2019, 1 (Nov. 2018), 97--122.
[3]
D. Sirone et al. 2019. Functional Analysis Attacks on Logic Locking. In 2019 Design, Automation Test in Europe Conference Exhibition (DATE).
[4]
Abhrajit Sengupta et. al. [n.d.]. Breaking CAS-Lock and Its Variants by Exploiting Structural Traces. Cryptology ePrint Archive, Report 2021/581.
[5]
Hadi Mardani et al. Kamali. 2020. InterLock: An Intercorrelated Logic and Routing Locking. In ICCAD (Virtual Event, USA). New York, NY, USA, Article 78, 9 pages.
[6]
Jongyeon Kim and et al. 2015. A technology-agnostic MTJ SPICE model with user-defined dimensions for STT-MRAM scalability studies. In 2015 IEEE Custom Integrated Circuits Conference (CICC). IEEE.
[7]
Paul et. al. Kocher. 1999. Differential Power Analysis. In Advances in Cryptology --- CRYPTO '99. Berlin, Heidelberg, 388--397.
[8]
G. Kolhe and et al. 2019. On Custom LUT-Based Obfuscation. In Proceedings of GLSVLSI (USA). Association for Computing Machinery, 477--482.
[9]
G. Kolhe and et al. 2019. Security and complexity analysis of LUT-based obfuscation: From blueprint to reality. In IEEE/ACM ICCAD.
[10]
G. Kolhe and et al. 2021. Securing Hardware via Dynamic Obfuscation Utilizing Reconfigurable Interconnect and Logic Blocks. In 2021 58th ACM/IEEE Design Automation Conference (DAC). 229--234.
[11]
P. Subramanyan et al. 2015. Evaluating the Security of Logic Encryption Algorithms. In Int'l Symp. on Hardware Oriented Security and Trust (HOST).
[12]
S. Patnaik and et al. 2018. Advancing hardware security using polymorphic and stochastic spin-hall effect devices. In 2018 DATE.
[13]
Stjepan et.al. Picek. 2018. On the Performance of Convolutional Neural Networks for Side-Channel Analysis. In Security, Privacy, and Applied Cryptography Engineering.
[14]
Nikhil Rangarajan and et al. 2020. Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices. IEEE Transactions on Emerging Topics in Computing (2020), 1--1.
[15]
Soheil Salehi and et al. 2019. Clockless Spin-Based Look-Up Tables with Wide Read Margin. In GLSVLSI (USA) (GLSVLSI '19). New York, NY, USA, 4 pages.
[16]
Bicky Shakya and et al. 2020. CAS-Lock: A Security-Corruptibility Trade-off Resilient Logic Locking Scheme. IACR TCHES (2020), 175--202.
[17]
Fangfei Yang and et al. 2019. Stripped Functionality Logic Locking With Hamming Distance-Based Restore Unit (SFLL-HD)-Unlocked. IEEE Transactions on Information Forensics and Security 14, 10 (2019).
[18]
M. Yasin and et al. 2019. SFLL-HLS: Stripped-Functionality Logic Locking Meets High-Level Synthesis. In 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1--4.
[19]
Muhammad et. al. Yasin. 2016. Activation of logic encrypted chips: Pre-test or post-test?. In DATE.
[20]
Muhammad et. al Yasin. 2017. Testing the Trustworthiness of IC Testing: An Oracle-Less Attack on IC Camouflaging. IEEE Transactions on Information Forensics and Security 12, 11 (2017), 2668--2682.

Cited By

View all
  • (2024)Optimized and Automated Secure IC Design Flow: A Defense-in-Depth ApproachIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.336416071:5(2031-2044)Online publication date: May-2024
  • (2024)Locking Decision Tree with State Permutation Obfuscation: Software Implementation2024 22nd IEEE Interregional NEWCAS Conference (NEWCAS)10.1109/NewCAS58973.2024.10666302(353-357)Online publication date: 16-Jun-2024
  • (2024)Sensitivity Analysis of SOT-MTJs to Manufacturing Process Variation: A Hardware Security Perspective2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528782(1-5)Online publication date: 3-Apr-2024
  • Show More Cited By

Index Terms

  1. LOCK&ROLL: deep-learning power side-channel attack mitigation using emerging reconfigurable devices and logic locking

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '22: Proceedings of the 59th ACM/IEEE Design Automation Conference
    July 2022
    1462 pages
    ISBN:9781450391429
    DOI:10.1145/3489517
    This work is licensed under a Creative Commons Attribution International 4.0 License.

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 23 August 2022

    Check for updates

    Author Tags

    1. emerging devices
    2. logic locking
    3. reverse engineering
    4. side channel attack

    Qualifiers

    • Research-article

    Conference

    DAC '22
    Sponsor:
    DAC '22: 59th ACM/IEEE Design Automation Conference
    July 10 - 14, 2022
    California, San Francisco

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)417
    • Downloads (Last 6 weeks)42
    Reflects downloads up to 14 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Optimized and Automated Secure IC Design Flow: A Defense-in-Depth ApproachIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2024.336416071:5(2031-2044)Online publication date: May-2024
    • (2024)Locking Decision Tree with State Permutation Obfuscation: Software Implementation2024 22nd IEEE Interregional NEWCAS Conference (NEWCAS)10.1109/NewCAS58973.2024.10666302(353-357)Online publication date: 16-Jun-2024
    • (2024)Sensitivity Analysis of SOT-MTJs to Manufacturing Process Variation: A Hardware Security Perspective2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528782(1-5)Online publication date: 3-Apr-2024
    • (2023)Machine Learning for Intrusion Detection: Stream Classification Guided by Clustering for Sustainable Security in IoTProceedings of the Great Lakes Symposium on VLSI 202310.1145/3583781.3590271(691-696)Online publication date: 5-Jun-2023
    • (2023)A Survey and Perspective on Artificial Intelligence for Security-Aware Electronic Design AutomationACM Transactions on Design Automation of Electronic Systems10.1145/356339128:2(1-57)Online publication date: 6-Mar-2023
    • (2023)Circuit Topology-Aware Vaccination-Based Hardware Trojan DetectionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.323444042:9(2852-2862)Online publication date: 1-Sep-2023
    • (2023)Securing AI Hardware: Challenges in Detecting and Mitigating Hardware Trojans in ML Accelerators2023 IEEE 66th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS57524.2023.10406065(821-825)Online publication date: 6-Aug-2023
    • (2023)Automated Supervised Topic Modeling Framework for Hardware Weaknesses2023 24th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED57927.2023.10129378(1-8)Online publication date: 5-Apr-2023
    • (undefined)An Overview of FPGA-inspired Obfuscation TechniquesACM Computing Surveys10.1145/3677118

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media