skip to main content
10.5555/1326073.1326174acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Archer: a history-driven global routing algorithm

Published: 05 November 2007 Publication History

Abstract

Global routing is an important step in the physical design process. In this paper, we propose a new global routing algorithm Archer, which resolves some of the most common problems with the state-of-the-art global routers. It is known that concurrent global routing algorithms are typically too expensive to be applied on today's large designs, which may contain up to a million nets. On the other hand, iterative rip-up and reroute (RNR) based algorithms are susceptible to getting stuck in local optimal solutions. In this paper, we propose an RNR-based global routing algorithm that guides the routing iterations out of local optima through effective usage of congestion histories. We also focus on the problem of how to enable a smooth trade-off between seemingly conflicting objectives of overflow and wirelength minimization. Furthermore, we propose a Lagrangian relaxation based bounded-length min-cost topology improvement algorithm that enables Steiner trees to change dynamically for the purpose of congestion optimization. Our experiments show that Archer obtains congestion-free solutions for all circuits in the standard ISPD98 benchmarks, which is the best result published so far. Furthermore, it produces better results than the best results reported in the ISPD-07 Global Routing Contest in terms of routability. Compared to FastRoute [18, 19], which is the state-of-the-art RNR-based global routing algorithm, Archer improves routability by 30%, and reduces the wirelengths by 32% on the average on ISPD07 benchmarks.

References

[1]
C. Albrecht. Provably good global routing by a new approximation algorithm for multicommodity flow. In Int'l Symposium on Physical Design, pages 19--25, 2000.
[2]
L. Behjat and A. Vanneli. Steiner tree construction based on congestion for the global routing problem. In Proc of IEEE Int'l Workshop on System on Chip for Real-Time Applications, pages 28--31, 2003.
[3]
C. Chiang, M. Sarrafzadeh, and C. Wong. Global routing based on steiner min-max trees. IEEE Transactions on Computer Aided Design, 9(12):1318--1325, 1990.
[4]
M. Cho and D. Z. Pan. Boxrouter: A new global router based on box expansion and progressive ilp. In Proc. of Design Automation Conference, pages 373--378, 2006.
[5]
C. Chu and Y. Wong. Fast and accurate rectilinear steiner minimal tree algorithm for VLSI design. In Proc of Int'l Symp. on Physical Design, pages 28--35, 2005.
[6]
M. L. Fisher. The lagrangian relaxation method for solving integer programming problems. Management Science, 27(1):1--18, 1981.
[7]
A. M. Geoffrion. Lagrangian relaxation and its uses in integer programming. Mathematical Programming, 2:82--114, 1974.
[8]
R. T. Hadsell and P. H. Madden. Improved global routing through congestion estimation. In Proc. of Design Automation Conference, pages 28--31, 2003.
[9]
M. Hanan. On steiner's problem with rectilinear distance. SIAM Journal of Applied Mathematics, 14:255--265, 1966.
[10]
M. H. Held, P. Wolfe, and H. D. Crowder. Validation of sub-gradient optimization. Mathematical Programming, 6(1):62--88, 1974.
[11]
R. Kastner, E. Bozorgzadeh, and M. Sarrafzadeh. Predictable routing. In Proc. of Int'l Conf. on Computer Aided Design, pages 110--114, 2000.
[12]
S. Lee and M. D. F. Wong. Timing-driven routing for FP-GAs based on lagrangian relaxation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pages 506--510, 2003.
[13]
L. McMurchie and C. Ebeling. Pathfinder: A negotiation-based performance-driven router for FPGAs. In Proc. of ACM Int'l Symp. on Field-Programmable Gate Arrays, pages 111--117, 1995.
[14]
D. Muller. Optimizing yield in global routing. In Proc. of Int'l Conf. On Computer Aided Design, pages 480--486, 2006.
[15]
G.-J. Nam. ISPD 2007 Global Routing Contest, 2007.
[16]
M. M. Ozdal and M. D. F. Wong. A length-matching routing algorithm for high-performance printed circuit boards. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 25:2784--2794, 2006.
[17]
M. M. Ozdal and M. D. F. Wong. Length matching routing for high-speed printed circuit boards. In Proc. of IEEE/ACM Intl. Conf. on Computer-Aided Design (ICCAD), pages 394--400, Nov. 2003.
[18]
M. Pan and C. Chu. Fastroute: A step to integrate global routing into placement. In Proc. of Int'l Conf. on Computer Aided Design, pages 464--471, 2006.
[19]
M. Pan and C. Chu. Fastroute 2.0: A high-quality and efficient global router. In Proc. of ASP-DAC, pages 250--255, 2007.

Cited By

View all
  • (2019)MARCHProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317860(1-6)Online publication date: 2-Jun-2019
  • (2018)Construction of All Rectilinear Steiner Minimum Trees on the Hanan GridProceedings of the 2018 International Symposium on Physical Design10.1145/3177540.3178240(18-25)Online publication date: 25-Mar-2018
  • (2014)Design and manufacturing process co-optimization in nano-technologyProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691480(574-581)Online publication date: 3-Nov-2014
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
November 2007
933 pages
ISBN:1424413826
  • General Chair:
  • Georges Gielen

Sponsors

Publisher

IEEE Press

Publication History

Published: 05 November 2007

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD07
Sponsor:

Acceptance Rates

ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 14 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2019)MARCHProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317860(1-6)Online publication date: 2-Jun-2019
  • (2018)Construction of All Rectilinear Steiner Minimum Trees on the Hanan GridProceedings of the 2018 International Symposium on Physical Design10.1145/3177540.3178240(18-25)Online publication date: 25-Mar-2018
  • (2014)Design and manufacturing process co-optimization in nano-technologyProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691480(574-581)Online publication date: 3-Nov-2014
  • (2013)A routing algorithm for graphene nanoribbon circuitACM Transactions on Design Automation of Electronic Systems10.1145/250505618:4(1-18)Online publication date: 25-Oct-2013
  • (2012)FastRouteVLSI Design10.1155/2012/6083622012(14-14)Online publication date: 1-Jan-2012
  • (2012)A fast maze-free routing congestion estimator with hybrid unilateral monotonic routingProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429539(713-719)Online publication date: 5-Nov-2012
  • (2012)Optimizing the antenna area and separators in layer assignment of multi-layer global routingProceedings of the 2012 ACM international symposium on International Symposium on Physical Design10.1145/2160916.2160946(137-144)Online publication date: 25-Mar-2012
  • (2011)Exploring high throughput computing paradigm for global routingProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132400(298-305)Online publication date: 7-Nov-2011
  • (2011)High-quality global routing for multiple dynamic supply voltage designsProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132387(263-269)Online publication date: 7-Nov-2011
  • (2011)Routing with graphene nanoribbonsProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950887(323-329)Online publication date: 25-Jan-2011
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media