skip to main content
10.1145/2627369.2627666acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
poster

Unlocking the true potential of 3D CPUs with micro-fluidic cooling

Published: 11 August 2014 Publication History

Abstract

As technology scaling is coming to an end, 3D integration is a promising technology to continue transistor density scaling in the future and facilitate new architectural designs. However heat removal is a serious chalenge in 3D ICs. A promising solution is micro-fluidic (MF) cooling. In this paper we argue that aggressive cooling methods are necessary to unlock the true potential of 3D ICs. We simulate a spectrum of 3D CPU architectures which offer vast improvements to performance, but are inefficient and thermally infeasible with air cooling alone. Our results show that integrating micro-fluidic cooling can increase average performance by 2.62x and energy efficiency by 1.78x by unlocking new architectural configurations.

References

[1]
M. Awasthi, et al. Handling the problems and opportunities posed by multiple on-chip memory controllers. In PACT'10. ACM, 2010.
[2]
M. Bakir, et al. 3D heterogeneous integrated systems: Liquid cooling, power delivery, and implementation. In CICC'08. IEEE, 2008.
[3]
X. Dong, et al. Simple but Effective Heterogeneous Main Memory with On-Chip Memory Controller Support. In SC'10, 2010.
[4]
M.-y. Hsieh, et al. A Framework for Architecture-level Power, Area, and Thermal Simulation and Its Application to Network-on-chip Design Exploration. SIGMETRICS PER, Mar. 2011.
[5]
W. Huang, et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design. TVLSI, 2006.
[6]
W. Liao, et al. Temperature and supply Voltage aware performance and power modeling at microarchitecture level. TCAD, 2005.
[7]
G. Loh. 3D-Stacked Memory Architectures for Multi-core Processors. In ISCA'08, 2008.
[8]
J. Meng, et al. Run-time Energy Management of Manycore Systems Through Reconfigurable Interconnects. In GLSVLSI'11, 2011.
[9]
J. Meng, et al. Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints. In DAC'12, 2012.
[10]
M. Pathak, et al. Through-silicon-via management during 3D physical design: When to add and how many? In ICCAD'10, pages 387--394, 2010.
[11]
I. Savidis and E. Friedman. Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance. T-ED, 2009.
[12]
B. Shi, et al. Non-uniform micro-channel design for stacked 3D-ICs. In DAC'11, 2011.
[13]
A. Sridhar, et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling. In ICCAD'10, 2010.

Cited By

View all
  • (2021)Recent Advancements in Thermal Performance Enhancement in Microchannel Heatsinks for Electronic Cooling ApplicationElectronics Cooling [Working Title]10.5772/intechopen.97087Online publication date: 20-Apr-2021
  • (2020)Latest Advancements in Heat Transfer Enhancement in the Micro-channel Heat Sinks: A ReviewArchives of Computational Methods in Engineering10.1007/s11831-020-09495-1Online publication date: 16-Sep-2020
  • (2017)Design Space Modeling and Simulation for Physically Constrained 3D CPUsProceedings of the on Great Lakes Symposium on VLSI 201710.1145/3060403.3060499(375-380)Online publication date: 10-May-2017
  • Show More Cited By

Index Terms

  1. Unlocking the true potential of 3D CPUs with micro-fluidic cooling

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '14: Proceedings of the 2014 international symposium on Low power electronics and design
    August 2014
    398 pages
    ISBN:9781450329750
    DOI:10.1145/2627369
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 11 August 2014

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 3d-ic
    2. liquid cooling
    3. memory controller
    4. stacked dram

    Qualifiers

    • Poster

    Funding Sources

    Conference

    ISLPED'14
    Sponsor:

    Acceptance Rates

    ISLPED '14 Paper Acceptance Rate 63 of 184 submissions, 34%;
    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)20
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 15 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)Recent Advancements in Thermal Performance Enhancement in Microchannel Heatsinks for Electronic Cooling ApplicationElectronics Cooling [Working Title]10.5772/intechopen.97087Online publication date: 20-Apr-2021
    • (2020)Latest Advancements in Heat Transfer Enhancement in the Micro-channel Heat Sinks: A ReviewArchives of Computational Methods in Engineering10.1007/s11831-020-09495-1Online publication date: 16-Sep-2020
    • (2017)Design Space Modeling and Simulation for Physically Constrained 3D CPUsProceedings of the on Great Lakes Symposium on VLSI 201710.1145/3060403.3060499(375-380)Online publication date: 10-May-2017
    • (2017)TSV-Based 3-D ICs: Design Methods and ToolsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.266660436:10(1593-1619)Online publication date: Oct-2017
    • (2016)Voltage Noise Induced DRAM Soft Error Reduction Technique for 3D-CPUsProceedings of the 2016 International Symposium on Low Power Electronics and Design10.1145/2934583.2934589(82-87)Online publication date: 8-Aug-2016
    • (2016)Unlocking the True Potential of 3-D CPUs With Microfluidic CoolingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.245019224:4(1515-1523)Online publication date: Apr-2016
    • (2016)Security and Vulnerability Implications of 3D ICsIEEE Transactions on Multi-Scale Computing Systems10.1109/TMSCS.2016.25504602:2(108-122)Online publication date: 1-Apr-2016
    • (2016)Thermoelectric Codesign of 3-D CPUs and Embedded Microfluidic Pin-Fin HeatsinksIEEE Design & Test10.1109/MDAT.2015.248071033:2(40-48)Online publication date: Apr-2016
    • (2016)The Macro-DSE for HPC Processing Unit: The Physical Constraints PerspectiveGreen, Pervasive, and Cloud Computing10.1007/978-3-319-39077-2_7(99-112)Online publication date: 3-May-2016

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media