skip to main content
10.1145/1840845.1840879acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
poster

Dynamic thermal management for single and multicore processors under soft thermal constraints

Published: 18 August 2010 Publication History

Abstract

In this paper, we investigate Dynamic Thermal Management (DTM) policies under soft thermal constraint that allows the thermal constraint to be violated for a user specified period. For single core processor, we develop analytical expression for the optimal frequency policy under the soft constraint such that maximal performance can be extracted. We extend this problem to multi-core processor and provide optimal frequency policy when all cores run at same frequency. We also present LP based approximated formulation that generates frequency policies where each core has separate frequency control and considers leakage power. We use frequency legalization to approximate the frequency into discrete values. Experimental results indicate that 10 degree celsius increase in core temperature for 100sec results in 13% performance gain for single core processor, and 30% performance gain for two-core processor. Without Tmax constraint, the performance improves almost 100% for two-core processor.

References

[1]
D. Brooks and M. Martonosi. Dynamic thermal management for high-performance microprocessors. In Proc. of the 7th Intl. Symp. on High-Performance Computer Architecture (HPCA'01).
[2]
A. Cohen, L. Finkelstein, A. Mendelson, R. Ronen, and D. Rudoy. On estimating optimal performance of CPU dynamic thermal management. IEEE Computer Architecture Letters, 2:6, 2003.
[3]
A. K. Coskun, T. S. Rosing, and K. C. Gross. Proactive temperature management in MPSOCs. In Proc. of Intl. Symp. on Low Power Electronics and Design (ISLPED'08).
[4]
A. K. Coskun, T. S. Rosing, and K. C. Gross. Temperature management in microprocessor SOCs using online learning. In Design Automation Conference (DAC'08).
[5]
L. He, W. Liao, and M. R. Stan. System level leakage reduction considering the interdependence of temperature and leakage. In Design Automation Conference (DAC'04).
[6]
S. M. Martin, K. Flautner, T. Mudge, and D. Blaauw. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In IEEE/ACM Intl. Conf. on Computer Aided Design (ICCAD'02).
[7]
R. Rao and S. Vrudhula. Performance optimal processor throttling under thermal constraints. In Proc. of Intl. Conf. on Compilers Architectures and Synthesis for Embedded Systems (CASES'07).
[8]
R. Rao, S. Vrudhula, and N. Chang. An optimal analytical solution for processor speed control with thermal constraints. In Proc. of Intl. Symp. on Low Power Electronics and Design (ISLPED'06).
[9]
K. Skadron, T. Abdelzahery, and M. R. Stan. Control-theoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management. In Proc. of Intl. Symp. on High-Performance Computer Architecture (HPCA'02).
[10]
K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. on Architecture and Code Optimization, 1:94--125, 3.
[11]
L. Yuan, S. Leventhal, and G. Qu. Temperature-aware leakage minimization technique for real-time systems. In IEEE/ACM Intl. Conf. on Computer Aided Design (ICCAD'06).

Cited By

View all
  • (2019)Thermal-aware Real-time Scheduling Using Timed Continuous Petri NetsACM Transactions on Embedded Computing Systems10.1145/332264318:4(1-24)Online publication date: 2-Jul-2019
  • (2015)Temperature regulation in multicore processors using adjustable-gain integral controllers2015 IEEE Conference on Control Applications (CCA)10.1109/CCA.2015.7320717(810-815)Online publication date: Sep-2015
  • (2013)Dynamic Thermal Management Under Soft Thermal ConstraintsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2012.222785421:11(2045-2054)Online publication date: 1-Nov-2013
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
August 2010
458 pages
ISBN:9781450301466
DOI:10.1145/1840845
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE CAS

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 18 August 2010

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. dynamic thermal management
  2. multi-core processor

Qualifiers

  • Poster

Conference

ISLPED'10
Sponsor:

Acceptance Rates

Overall Acceptance Rate 398 of 1,159 submissions, 34%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)4
  • Downloads (Last 6 weeks)0
Reflects downloads up to 15 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2019)Thermal-aware Real-time Scheduling Using Timed Continuous Petri NetsACM Transactions on Embedded Computing Systems10.1145/332264318:4(1-24)Online publication date: 2-Jul-2019
  • (2015)Temperature regulation in multicore processors using adjustable-gain integral controllers2015 IEEE Conference on Control Applications (CCA)10.1109/CCA.2015.7320717(810-815)Online publication date: Sep-2015
  • (2013)Dynamic Thermal Management Under Soft Thermal ConstraintsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2012.222785421:11(2045-2054)Online publication date: 1-Nov-2013
  • (2012)Scheduling for Multi-core Processor under Process and Temperature VariationProceedings of the 2012 IEEE 6th International Symposium on Embedded Multicore SoCs10.1109/MCSoC.2012.9(113-120)Online publication date: 20-Sep-2012
  • (2012)Thermal-Aware Feedback Control Scheduling for Soft Real-time SystemsProceedings of the 2012 IEEE 14th International Conference on High Performance Computing and Communication & 2012 IEEE 9th International Conference on Embedded Software and Systems10.1109/HPCC.2012.216(1479-1486)Online publication date: 25-Jun-2012
  • (2012)Computational sprintingProceedings of the 2012 IEEE 18th International Symposium on High-Performance Computer Architecture10.1109/HPCA.2012.6169031(1-12)Online publication date: 25-Feb-2012
  • (2012)Adaptive dynamic frequency scaling for thermal-aware 3d multi-core processorsProceedings of the 12th international conference on Computational Science and Its Applications - Volume Part IV10.1007/978-3-642-31128-4_44(602-612)Online publication date: 18-Jun-2012

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media