skip to main content
10.1145/2435264.2435287acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
research-article

Heracles: a tool for fast RTL-based design space exploration of multicore processors

Published: 11 February 2013 Publication History
First page of PDF

References

[1]
J. Andersson, J. Gaisler, and R. Weigand. Next generation multipurpose microprocessor. 2010.
[2]
N. Banerjee, P. Vellanki, and K. Chatha. A power and performance model for network-on-chip architectures. In Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings, volume 2, pages 1250-1255 Vol.2, feb. 2004.
[3]
M. H. Cho, K. S. Shim, M. Lis, O. Khan, and S. Devadas. Deadlock-free fine-grained thread migration. In Networks on Chip (NoCS), 2011 Fifth IEEE/ACM International Symposium on, pages 33 -40, may 2011.
[4]
C. R. Clack, R. Nathuji, and H.-H. S. Lee. Using an fpga as a prototyping platform for multicore processor applications. In WARFP-2005: Workshop on Architecture Research using FPGA Platforms, Cambridge, MA, USA, feb. 2005.
[5]
W. J. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann, 2003.
[6]
P. Del valle, D. Atienza, I. Magan, J. Flores, E. Perez, J. Mendias, L. Benini, and G. Micheli. A complete multi-processor system-on-chip fpga-based emulation framework. In Very Large Scale Integration, 2006 IFIP International Conference on, pages 140-145, oct. 2006.
[7]
K. E. Fleming, M. Adler, M. Pellauer, A. Parashar, A. Mithal, and J. Emer. Leveraging latency-insensitivity to ease multiple fpga design. In Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays, FPGA '12, pages 175-184, New York, NY, USA, 2012.
[8]
N. Genko, D. Atienza, G. De Micheli, J. Mendias, R. Hermida, and F. Catthoor. A complete network-on-chip emulation framework. In Design, Automation and Test in Europe, 2005. Proceedings, pages 246-251 Vol. 1, march 2005.
[9]
M. Kinsy, M. H. Cho, T. Wen, E. Suh, M. van Dijk, and S. Devadas. Application-Aware Deadlock-Free Oblivious Routing. In Proceedings of the Int'l Symposium on Computer Architecture, June 2009.
[10]
M. Kinsy, M. Pellauer, and S. Devadas. Heracles: Fully synthesizable parameterized mips-based multicore system. In Field Programmable Logic and Applications (FPL), 2011 International Conference on, pages 356-362, sept. 2011.
[11]
C. E. Leiserson. Fat-trees: universal networks for hardware-efficient supercomputing. IEEE Trans. Comput., 34(10):892-901, 1985.
[12]
M. Lis, P. Ren, M. H. Cho, K. S. Shim, C. Fletcher, O. Khan, and S. Devadas. Scalable, accurate multicore simulation in the 1000-core era. In Performance Analysis of Systems and Software (ISPASS), 2011 IEEE International Symposium on, pages 175-185, april 2011.
[13]
A. Lusala, P. Manet, B. Rousseau, and J.-D. Legat. Noc implementation in fpga using torus topology. In Field Programmable Logic and Applications, 2007. FPL 2007. International Conference on, pages 778-781, aug. 2007.
[14]
P. S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hållberg, J. Högberg, F. Larsson, A. Moestedt, and B. Werner. Simics: A full system simulation platform. Computer, 35(2):50-58, feb 2002.
[15]
J. Miller, H. Kasture, G. Kurian, C. Gruenwald, N. Beckmann, C. Celio, J. Eastep, and A. Agarwal. Graphite: A distributed parallel simulator for multicores. In High Performance Computer Architecture (HPCA), 2010 IEEE 16th International Symposium on, pages 1-12, jan. 2010.
[16]
L. M. Ni and P. K. McKinley. A survey of wormhole routing techniques in direct networks. Computer, 26(2):62-76, 1993.
[17]
D. Patterson and J. Hennessy. Computer Organization and Design: The Hardware/software Interface. Morgan Kaufmann, 2005.
[18]
M. Pellauer, M. Adler, M. Kinsy, A. Parashar, and J. Emer. Hasim: Fpga-based high-detail multicore simulation using time-division multiplexing. In High Performance Computer Architecture (HPCA), 2011 IEEE 17th International Symposium on, pages 406-417, feb. 2011.
[19]
N. Saint-Jean, G. Sassatelli, P. Benoit, L. Torres, and M. Robert. Hs-scale: a hardware-software scalable mp-soc architecture for embedded systems. In VLSI, 2007. ISVLSI '07. IEEE Computer Society Annual Symposium on, pages 21-28, march 2007.
[20]
Z. Tan, A. Waterman, R. Avizienis, Y. Lee, H. Cook, D. Patterson, and K. Asanovict' and. Ramp gold: An fpga-based architecture simulator for multiprocessors. In Design Automation Conference (DAC), 2010 47th ACM/IEEE, pages 463-468, june 2010.
[21]
W. Yu. Gems a high performance em simulation tool. In Electrical Design of Advanced Packaging Systems Symposium, 2009. (EDAPS 2009). IEEE, pages 1-4, dec. 2009.

Cited By

View all
  • (2024)Design and Test of Offset Quadrature Phase-Shift Keying Modulator with GF180MCU Open Source Process Design KitElectronics10.3390/electronics1309170513:9(1705)Online publication date: 28-Apr-2024
  • (2023)HierSyn: Fast Synthesis for Large Hierarchical Designs2023 IEEE 15th International Conference on ASIC (ASICON)10.1109/ASICON58565.2023.10396414(1-4)Online publication date: 24-Oct-2023
  • (2022)A Script-Based Cycle-True Verification Framework to Speed-Up Hardware and Software Co-Design: Performance Evaluation on ECC Accelerator Use-CaseElectronics10.3390/electronics1122370411:22(3704)Online publication date: 12-Nov-2022
  • Show More Cited By

Index Terms

  1. Heracles: a tool for fast RTL-based design space exploration of multicore processors

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      FPGA '13: Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
      February 2013
      294 pages
      ISBN:9781450318877
      DOI:10.1145/2435264
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 11 February 2013

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. FPGA
      2. RTL-design
      3. distributed shared memory
      4. hardware migration
      5. hardware multi-threading
      6. mips architectures
      7. multicore architecture design
      8. network-on-chip
      9. open-source
      10. shared-memory
      11. verilog
      12. virtual channel router

      Qualifiers

      • Research-article

      Conference

      FPGA '13
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 125 of 627 submissions, 20%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)13
      • Downloads (Last 6 weeks)2
      Reflects downloads up to 22 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Design and Test of Offset Quadrature Phase-Shift Keying Modulator with GF180MCU Open Source Process Design KitElectronics10.3390/electronics1309170513:9(1705)Online publication date: 28-Apr-2024
      • (2023)HierSyn: Fast Synthesis for Large Hierarchical Designs2023 IEEE 15th International Conference on ASIC (ASICON)10.1109/ASICON58565.2023.10396414(1-4)Online publication date: 24-Oct-2023
      • (2022)A Script-Based Cycle-True Verification Framework to Speed-Up Hardware and Software Co-Design: Performance Evaluation on ECC Accelerator Use-CaseElectronics10.3390/electronics1122370411:22(3704)Online publication date: 12-Nov-2022
      • (2022)SoCCom: Automated Synthesis of System-on-Chip ArchitecturesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2022.314132630:4(449-462)Online publication date: Apr-2022
      • (2022)Design and Evaluation of Performance-efficient SoC-on-FPGA for Cloud-based Healthcare Applications2022 IEEE Nordic Circuits and Systems Conference (NorCAS)10.1109/NorCAS57515.2022.9934217(1-6)Online publication date: 25-Oct-2022
      • (2021)Dovado: An Open-Source Design Space Exploration Framework2021 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW52791.2021.00027(128-135)Online publication date: Jun-2021
      • (2021)A Script-Based Cycle-True Verification Framework to Speed-Up Hardware and Software Co-Design of System-on-Chip exploiting RISC-V Architecture2021 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS)10.1109/DTIS53253.2021.9505139(1-6)Online publication date: 28-Jun-2021
      • (2020)Application-specific network-on-chip design space exploration framework for neuromorphic processorProceedings of the 17th ACM International Conference on Computing Frontiers10.1145/3387902.3392626(71-80)Online publication date: 11-May-2020
      • (2020)CSMO-DSEACM Journal on Emerging Technologies in Computing Systems10.1145/337140616:2(1-22)Online publication date: 30-Jan-2020
      • (2020)A Low Overhead Methodology for Validating Memory Consistency Models in Chip Multiprocessors2020 33rd International Conference on VLSI Design and 2020 19th International Conference on Embedded Systems (VLSID)10.1109/VLSID49098.2020.00035(101-106)Online publication date: Jan-2020
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media