skip to main content
10.5555/1874620.1874641acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

A self-adaptive system architecture to address transistor aging

Published: 20 April 2009 Publication History

Abstract

As semiconductor manufacturing enters advanced nanometer design paradigm, aging and device wear-out related degradation is becoming a major concern. Negative Bias Temperature Instability (NBTI) is one of the main sources of device lifetime degradation. The severity of such degradation depends on the operation history of a chip in the field, including such characteristics as temperature and workloads. In this paper, we propose a system level reliability management scheme where a chip dynamically adjusts its own operating frequency and supply voltage over time as the device ages. Major benefits of the proposed approach are (i) increased performance due to reduced frequency guard banding in the factory and (ii) continuous field adjustments that take environmental operating conditions such as actual room temperature and the power supply tolerance into account. The greatest challenge in implementing such a scheme is to perform calibration without a tester. Much of this work is performed by a hypervisor like software with very little hardware assistance. This keeps both the hardware overhead and the system complexity low. This paper describes the entire system architecture including hardware and software components. Our simulation data indicates that under aggressive wear-out conditions, scheduling interval of days or weeks is sufficient to reconfigure and keep the system operational, thus the run time overhead for such adjustments is of no consequence at all.

References

[1]
International Technology Roadmap for Semiconductors (ITRS). Document available at http://public/itrs.net/
[2]
S. Y. Borkar, "Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation", In IEEE Micro, Vol. 25, Issue 6, Nov.--Dec. 2005
[3]
J. Srinivasan et al., "The case for lifetime reliability-aware microprocessors", In Int'l Symposium on Computer Architecture, June 2004
[4]
S. Zafar et al., "A Model for Negative Bias Temperature Instability (NBTI) in Oxide and High K PFETs", In Symposia VLSI Technology and Circuits, 2004
[5]
W. Abadeer, W. Ellis, "Behavior of NBTI under AC Dynamic Circuit Conditions", In Int'l Reliability Physics Symposium, 2003
[6]
M. Agostinelli et al., "Erratic Fluctuations of SRAM Cache Vmin at the 90nm Process Technology Node", In Electron Devices Meeting (IEDM), 2005
[7]
V. Reddy et al., "Impact of Negative Bias Temperature Instability on Digital Circuit Reliability", In Intl. Reliability Physics Symposium, 2002
[8]
S. Kundu et al., "Trends in manufacturing test methods and their implications", In Intl. Test Conference, pp. 679--687, 2004
[9]
J. Abella et al., "Penelope: The NBTI-Aware Processor", In Int'l Symposium on Microarchitecture, 2007
[10]
S. Mitra, E. J. McClusky, "WORD VOTER: A New Voter Design for Triple Modular Redundancy Systems", In Symposium VLSI Test., 2000
[11]
T. Lin et al., "Error Log Analysis: Statistical Modeling and Heuristic Trend Analysis", In IEEE Transactions on Reliability, Oct. 1990
[12]
A. Tiwari et al., "ReCycle: pipeline adaptation to tolerate process variation", In Int'l Symposium on Computer Architecture, 2007
[13]
J. Srinivasan et al., "Lifetime Reliability: Toward an Architectural Solution", In Int'l Symposium on Computer Architecture, May 2005
[14]
J. Srinivasan et al., "The Impact of Technology Scaling on Lifetime Reliability", In Intl. Conference on Dependable Systems and Networks, 2004
[15]
S. Das et al., "Razor: A self-tuning DVS processor using delay-error detection and correction", In Symposium on VLSI Circuits, 2005
[16]
K. Constantinides, O. Mutlu, T. Austin, V. Bertacco, "Software-Based Online Detection of Hardware Defects: Mechanisms, Architectural Support and Evaluation", In Int'l Symp. on Microarchitecture, 2007
[17]
J. Smolens et al., "Detecting Emerging Wearout Faults", In IEEE Workshop on Silicon Errors in Logic -- System Effects, 2007
[18]
J. Tschanz et al., "Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging", In Int'l Solid State Circuits Conference, 2007
[19]
J. Smith, R. Nair, "Virtual Machines: Versatile Platforms for Systems and Processes", Morgan Kaufmann Pub, 2005
[20]
"IBM Systems Virtualization", IBM Corp., Ver. 2 Rel. 1, 2005
[21]
S. Naffziger et al., "Power and Temperature Control on a 90nm Itanium®-Family Processor", In Int'l Solid State Circuits Conference, 2005
[22]
Y. Li, S. Makar, S. Mitra, "CASP: Concurrent Autonomous Chip Self-Test Using Stored Test Patterns", In Design, Automation and Test in Europe, 2008
[23]
P. Parvathala et al., "FRITS -- A Microprocessor Functional BIST Method", In Int'l Test Conference, 2002
[24]
D. J. Sorin, et al., "SafetyNet: Improving the Availability of Shared Memory Multiprocessors with Global Checkpoint/Recovery", In Int'l Symposium on Computer Architecture, 2002
[25]
J. Renau et al., "SESC Simulator", 2005; http://sesc.sourceforge.net
[26]
D. Brooks et al., "Wattch: A framework for architectural-level power analysis and optimizations", In Int'l Symposium on Computer Architecture, 2000
[27]
P. Shivakumar and N. P. Jouppi, "CACTI 3.0: An integrated cache timing, power, and area model", WRL Tech. Report, Compaq, 2001
[28]
K. Skadron et al., "HotSpot: Techniques for Modeling Thermal Effects at the Processor-Architecture Level", In THERMINIC, 2002
[29]
J. Srinivasan et al., "RAMP: A Model for Reliability Aware Microprocessor Design", IBM Research Report, Dec. 2003

Cited By

View all
  • (2016)Temperature and Voltage Measurement for Field Test Using an Aging-Tolerant MonitorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.254065424:11(3282-3295)Online publication date: 1-Nov-2016
  • (2015)Exploiting Instruction Set Encoding for Aging-Aware Microprocessor DesignACM Transactions on Design Automation of Electronic Systems10.1145/278343521:1(1-26)Online publication date: 2-Dec-2015
  • (2014)Memory block based scan-BIST architecture for application-dependent FPGA testingProceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays10.1145/2554688.2554764(85-88)Online publication date: 26-Feb-2014

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '09: Proceedings of the Conference on Design, Automation and Test in Europe
April 2009
1776 pages
ISBN:9783981080155

Sponsors

  • EDAA: European Design Automation Association
  • ECSI
  • EDAC: Electronic Design Automation Consortium
  • SIGDA: ACM Special Interest Group on Design Automation
  • The IEEE Computer Society TTTC
  • The IEEE Computer Society DATC
  • The Russian Academy of Sciences: The Russian Academy of Sciences

Publisher

European Design and Automation Association

Leuven, Belgium

Publication History

Published: 20 April 2009

Check for updates

Qualifiers

  • Research-article

Conference

DATE '09
Sponsor:
  • EDAA
  • EDAC
  • SIGDA
  • The Russian Academy of Sciences

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 14 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2016)Temperature and Voltage Measurement for Field Test Using an Aging-Tolerant MonitorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.254065424:11(3282-3295)Online publication date: 1-Nov-2016
  • (2015)Exploiting Instruction Set Encoding for Aging-Aware Microprocessor DesignACM Transactions on Design Automation of Electronic Systems10.1145/278343521:1(1-26)Online publication date: 2-Dec-2015
  • (2014)Memory block based scan-BIST architecture for application-dependent FPGA testingProceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays10.1145/2554688.2554764(85-88)Online publication date: 26-Feb-2014

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media