skip to main content
10.5555/1509633.1509785acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Conflict driven scan chain configuration for high transition fault coverage and low test power

Published: 19 January 2009 Publication History

Abstract

Two conflict-driven schemes and a new scan architecture based on them are presented to improve fault coverage of transition fault. They make full use of the advantages of broadside, skewed-load and enhanced scan testing, and eliminate the disadvantages of them, such as low coverage, fast global scan enable signal and hardware overhead. Test power is also a challenge for delay testing, so our method tries to reduce the test power at the same time. By the analysis of the functional dependency between test vectors in broadside testing and the shift dependency between vectors in the skewed-load testing, some scan cells are selected to operate in the enhanced scan and skewed-load scan mode, while others operate in traditional broadside mode. In the architecture, scan cells with common successors are divided into one chain. With the efficient conflict driven selection methods and partition of scan cells, fault coverage can be improved greatly and test power can be reduced, without sacrificing the test time and test data. Experimental results show that the fault coverage of the proposed method can reach the level of enhanced scan design.

References

[1]
N. Amhed and M. Tehranipoor, "Improving transi- tion delay fault coverage using hybrid scan-based tech- nique," in Proc. of 20th IEEE Int. Symp. on Defect and Fault-Tolerance in VLSI Systems, pp. 187--198, 2005.
[2]
K. M. Butler, "Minimizing power consumption in scan testing: pattern generation and DFT techniques," in Proc. IEEE Int. Test Conf., pp. 355--364, 2004.
[3]
J. Chen, C. Yang, and K. J. Lee, "Test pattern generation and clock disabling for simultaneous test time and power reduction," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 22, no. 3, pp. 363--370, Mar. 2003.
[4]
K. T. Cheng, "Transition fault testing for sequen- tial circuits," IEEE Trans. on Computer-Aided Design, vol. 12, no. 12, pp. 1971--1983, 1993.
[5]
S. Dasgupta, R. G. Walther, T. W. Williams and E. B. Eichelberger, "An enhancement to LSSD and some applications of LSSD in reliability, availability, and serviceability, in Proc. 11th FTCS, 1981, pp. 32--34.
[6]
N. Devtaprasanna, A. Gunda, P. Krishnamurthy, S. M. Reddy, and I. Pomeranz, "Methods for improving transition delay fault coverage using broadside tests, in Proc. of IEEE Int. Test Conference, paper 11.2, 2005.
[7]
T. Iwagaki, S. Ohtake and H. Fujiwara, "A new test generation model for broadside transition testing of partial scan circuits, IFIP International Conference on Very Large Scale Integration, pp. 308--313, 2006.
[8]
S. Kajihara, S. Morishima, A. Takuma, X. Wen, T. Maeda, S. Hamada, and Y. Sato, "A framework of high-quality transition fault ATPG for scan circuits, in Proc. of IEEE Int. Test Conference, paper 2.1, 2006.
[9]
Y. C. Lin, F. Lu, and K. T. Cheng, "Pseudofunctional Testing," IEEE Trans. on Computer-Aided Design, vol. 25, no. 8, pp. 1535--1546, 2006.
[10]
X. Liu andM. Hsiao, "Constrained ATPG for broadside transition testing, in Proc. of IEEE Int. Symp. on De- fect and Fault-Tolerance in VLSI Systems, pp. 175--182, 2003.
[11]
A. Krstic, J. Liou, K. T. Cheng, and L. C. Wang, "On structural vs. functional testing for delay faults," Proc. of ISQED, pp. 438--441, 2003.
[12]
W. Mao and M. D. Ciletti, "Reducing correlation to improve coverage of delay faults in scan-path design, IEEE Trans. on Computer-Aided Design, vol. 13, pp. 638--646, 1994.
[13]
S. Patil and J. Savir, "Skewed-Load Transition Test: Part II, Coverage," in Proc. of Int. Test Conf., 1992, pp. 714--722.
[14]
I. Pomeranz and S. M. Reddy, "On achieving complete coverage of delay faults in full scan circuits using locally available lines, in Proc. of IEEE Int. Test Conference, pp. 923--931, 1999.
[15]
I. Pomeranz and S. M. Reddy, "Enhanced broadside testing for improved transition fault coverage, in Proc. of 16th IEEE Asian Test Symposium, pp. 473--477, Oct. 2007.
[16]
J. Rearick, "Too much delay fault coverage is a bad thing," Proc. IEEE Int. Test Conf., pp. 624--633, 2001.
[17]
J. Savir, "Skewed-Load Transition Test: Part I, Calculus", in Proc. of IEEE Int. Test Conf., pp. 705--713, 1992.
[18]
J. Savir and S. Patil, "On broad-side delay test", IEEE Trans. on VLSI Systems, vol. 2, no. 3, pp. 368--372, 1994.
[19]
J. Savir and S. Patil, "Scan-based transition test," IEEE Trans. on Computer-Aided Design, vol. 12, no. 8, pp. 1232--1241, 1993.
[20]
W. Seongmoon, L. Xiao, and S. T. Chakradhar, "Hybrid delay scan: a low hardware overhead scan-based delay test technique for high fault coverage and compact test sets", in Proc. Design, Automation and Test in Europe, 2004, pp. 1296--1301.
[21]
C.-W. Tzeng and S.-Y. Huang, "UMC-Scan Test Methodology: Exploiting the Maximum Freedom of Multicasting, IEEE Design and Test of Computers, Vol. 25, No. 2, pp. 132--140, Mar.-Apr. 2008.
[22]
X. Wen, Y. Yamashita, S. Morishima, S. Kajihara, L. T. Wang, K. K. Saluja, and K. Kinoshita, "Low- capture-power test generation for scan-based at-speed testing, in Proc. of IEEE Int. Test Conference, paper 39.2, 2005.
[23]
D. Xiang, K. Li, J. Sun, and H. Fujiwara, "Reconfigured scan forest for test application cost, test data volume, and test power reduction," IEEE Trans. on Computers, vol. 56, no. 4, pp. 557--562, April 2007.
[24]
Q. Xu, D. Hu, and D. Xiang, "Pattern-directed circuit virtual partitioning for test power reduction," in Proc. of IEEE Int. Test Conference, Oct. 2007.
  1. Conflict driven scan chain configuration for high transition fault coverage and low test power

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ASP-DAC '09: Proceedings of the 2009 Asia and South Pacific Design Automation Conference
    January 2009
    902 pages
    ISBN:9781424427482

    Sponsors

    • IEEE Circuits and Systems Society
    • SIGDA: ACM Special Interest Group on Design Automation
    • IPSJ SIGSLDM: Information Processing Society of Japan - SIG System LSI Design Methodology
    • IEICE ESS: Institute of Electronics, Information and Communication Engineers - Engineering Sciences Society

    Publisher

    IEEE Press

    Publication History

    Published: 19 January 2009

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ASPDAC '09
    Sponsor:
    • SIGDA
    • IPSJ SIGSLDM
    • IEICE ESS

    Acceptance Rates

    Overall Acceptance Rate 466 of 1,454 submissions, 32%

    Upcoming Conference

    ASPDAC '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 78
      Total Downloads
    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 14 Sep 2024

    Other Metrics

    Citations

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media