ASML

ASML

Productie halfgeleiders

Changing the world, one nanometer at a time

Over ons

Who are we? ASML is an innovation leader in the global semiconductor industry. We make machines that chipmakers use to mass produce microchips. Founded in 1984 in the Netherlands with just a handful of employees, we’ve now grown to over 40,000 employees, 143 nationalities and more than 60 locations around the world. What do we do? We provide chipmakers with hardware, software and services to mass produce patterns on silicon through lithography. Our lithography systems use ultraviolet light to create billions of tiny structures on silicon that together make up a microchip. We push our technology to new limits to enable our customers to create smaller, faster and more powerful chips. Who are our people? While you may think that only engineers and mathematicians work at ASML, you'll be surprised to find out that our people come from a wide variety of backgrounds. Across ASML, we have dedicated teams that manage customer support, communications and media, IT, software development and more. Every team in the company is essential for pushing our technology and the industry forward. If you love to tackle challenges and innovate in a collaborative, supportive and inclusive environment with all the flexibility and freedom to unleash your full potential, ASML is the place to be. Join us!

Website
https://www.asml.com
Branche
Productie halfgeleiders
Bedrijfsgrootte
Meer dan 10.000 werknemers
Hoofdkantoor
Veldhoven
Type
Naamloze vennootschap
Opgericht
1984
Specialismen
semiconductor, technology, hardware, software, lithography machine en innovation

Locaties

Medewerkers van ASML

Updates

  • Organisatiepagina weergeven voor ASML, afbeelding

    679.135 volgers

    As we celebrate four decades of ASML, we honor Henk Bodt, our key supporter in the 1990s. Bodt's contribution was pivotal in securing a crucial investment from Philips, closing the final funding gap needed to produce the PAS 5500. His belief in ASML during a period of financial uncertainty helped us not only find our footing in the semiconductor industry, but also proudly repay Philips in the same year. Reflecting on the unwavering confidence leaders like Bodt had in us, we're inspired to keep driving innovation and stay committed to keep powering technology forward with you. When was the last time you believed in an idea, and it actually worked out?

  • Organisatiepagina weergeven voor ASML, afbeelding

    679.135 volgers

    What does it take to stay on the cutting edge of lithography innovation for 40 years? 💡 Our journey from the PAS 2000 to High NA EUV has been driven by a unique focus on system architecture and integration. But in the fast-paced chip industry, focus is not enough. Discover how we’ve adapted, evolved and remained agile to meet the ever-changing needs of our customers. 👇

  • Organisatiepagina weergeven voor ASML, afbeelding

    679.135 volgers

    Fun fact: ASML refurbishes around 30 DUV lithography systems every year! ♻️ Refurbishing these machines helps to extend system lifespans, provides cost-effective options for customers and reduces waste from scrapping materials. It’s just one of the many ways we’re supporting a circular economy in the semiconductor industry. http://ms.spr.ly/6043lniRv

    Revitalization through refurbishment

    Revitalization through refurbishment

    asml.com

  • Organisatiepagina weergeven voor ASML, afbeelding

    679.135 volgers

    Where were you when the dotcom bubble burst? 💭 The chip industry is notoriously cyclical, and over ASML's 40 years, we've experienced our share of downturns. When the internet boom of the early 2000s ended, it triggered "the worst downturn in the history of the semiconductor industry", according to our then-CEO Doug Dunn. In 2001, ASML posted a loss as orders slumped, and we had to restructure. These challenging times tested and shaped us. Building on these experiences, we continue to evolve, ensuring our business and ecosystem remain resilient and prepared for both downturns and upturns. This is how we navigate the cycles of the chip industry, together with our customers, suppliers and partners.

    • Geen alternatieve tekst opgegeven voor deze afbeelding
  • Organisatiepagina weergeven voor ASML, afbeelding

    679.135 volgers

    The renewable energy transition is one of the industry trends that continues to drive demand for microchips. At ASML, we’re expanding our facilities to help meet that demand – and, in the spirit of renewable energy, we aim to minimize our environmental impact as we do it. 💡 We have adopted sustainable construction standards to manage the effects of our expanding campuses. From Europe to the US and Asia, these standards are helping us maximize our capacity and minimize our impact on the local community and environment. Read more about what we're doing: http://ms.spr.ly/6041lP3yD

    Building a sustainable campus

    Building a sustainable campus

    asml.com

  • Organisatiepagina weergeven voor ASML, afbeelding

    679.135 volgers

    🚨 BREAKING: we just reported our Q2 2024 financial results! 👇 📈 Our Q2 2024 total net sales came in at €6.2 billion, at the high-end of our guidance, with a gross margin of 51.5% which is above guidance, both primarily driven by more immersion systems sales. 📊 Our outlook for the full year 2024 remains unchanged. We see 2024 as a transition year with continued investments in both capacity ramp and technology. We currently see strong developments in AI, driving most of the industry recovery and growth, ahead of other market segments.

  • Organisatiepagina weergeven voor ASML, afbeelding

    679.135 volgers

    ASML's longest-running lithography platform just got a new lease on life! 🎉 At SEMICON West 2024, our Mature Products & Services (MPS) business line announced that the lifetime of our PAS 5500 product line would be extended until at least 2035. 📱 Did you know? 70% of the various chips in your smartphone are produced using mature lithography, which delivers high productivity, reliability and cost efficiency. 🔧 In fact, over 90% of all PAS 5500 systems built since the 1990s are still in use today, supporting mainstream market growth driven by power, automotive, sensor and analog chips. To meet customer expectations for extended system lifespans, we launched a redesign program several years ago. This involved a complete renewal of the system's electronics and other modules, ensuring our customers can continue using the PAS platform for years to come. 👏 "The lifetime extension of the PAS 5500 until 2035 is highly valuable for our long-time customers in the mature market. I’m incredibly proud of our R&D teams in the Netherlands and Taiwan, who are working together with partners across our global ecosystem to overhaul and future-proof the platform’s design. In addition to supporting our customers, the refurbishment, reuse, and repair of these systems also contribute to a more sustainable chip industry," said Arjan van der Sijs, Head of MPS Development & Engineering at ASML.

    • Geen alternatieve tekst opgegeven voor deze afbeelding
  • Organisatiepagina weergeven voor ASML, afbeelding

    679.135 volgers

    When it comes microchips, tiny features require tiny tweaks. Even a small misalignment between microchip layers can negatively affect a chip’s performance. Now, with an improved lens-adjustment system developed by ASML and ZEISS Semiconductor Manufacturing Technology, chipmakers can correct misalignments of less than a nanometer. That means more microchips and better performance. Learn more: http://ms.spr.ly/6044lysd4

    Overachieving with overlay control

    Overachieving with overlay control

    asml.com

Gerelateerde pagina’s

Vergelijkbare pagina’s

Door vacatures bladeren

Financiering

ASML 1 ronde in totaal

Laatste ronde

Schuld na beursgang

US$ 526.457.656,00

Bekijk meer informatie over Crunchbase